RELATEED CONSULTING
相关咨询
选择下列产品马上在线沟通
服务时间:8:30-17:00
你可能遇到了下面的问题
关闭右侧工具栏

新闻中心

这里有您想知道的互联网营销解决方案
Vivado下头文件使用注意事项是什么

这篇文章主要讲解了“Vivado下头文件使用注意事项是什么”,文中的讲解内容简单清晰,易于学习与理解,下面请大家跟着小编的思路慢慢深入,一起来研究和学习“Vivado下头文件使用注意事项是什么”吧!

创新互联公司是一家专注于成都做网站、网站建设与策划设计,包河网站建设哪家好?创新互联公司做网站,专注于网站建设十余年,网设计领域的专业建站公司;建站业务涵盖:包河等地区。包河做网站价格咨询:18982081108

并不局限于Vivado一种EDA。

头文件主要使用“文件包括”处理,所谓"文件包含"处理是一个源文件可以将另外一个源文件的全部内容包含进来,即将另外的文件包含到本文件之中。Verilog语言提供了`include命令用来实现"文件包含"的操作。

我在头文件中定义了一个全局变量如下:

Vivado下头文件使用注意事项是什么

我在使用这个全局变量时,始终提示找不到这个全局变量:

Vivado下头文件使用注意事项是什么

出现这个问题,主要有两方面原因:

1、头文件类型需要注意:

Vivado下头文件使用注意事项是什么

其中箭头部分可以修改类型。

2、引用错误:

  output reg [WIDTH-1:0] LED;

上面是错误的,正确如下:

output reg ['WIDTH-1:0] LED;

其中第一个引起出错的可能比较小,试过用其他类型(Verilog源文件类型)也不会有问题。

关于文件包含的使用注意以下几点:

Vivado下头文件使用注意事项是什么

感谢各位的阅读,以上就是“Vivado下头文件使用注意事项是什么”的内容了,经过本文的学习后,相信大家对Vivado下头文件使用注意事项是什么这一问题有了更深刻的体会,具体使用情况还需要大家实践验证。这里是创新互联,小编将为大家推送更多相关知识点的文章,欢迎关注!


网站名称:Vivado下头文件使用注意事项是什么
链接分享:http://sczitong.cn/article/pohgij.html